人工智能(AI)浪潮转动全球半导体行业新一轮周期的齿轮,AI加速芯片的关键技术先进封装被推至新的风口。台积电、日月光、Amkor、英特尔、三星等大厂纷纷踊跃下注、调整产能布局,大小企业收购,各国补贴奖励到位...先进封装市场门庭若市,而CoWoS产能仍“吃紧”的消息一释出,再度吸引业界目光。

一、CoWoS产能“大缺”,Foveros有望替补?

CoWoS封装技术早已被视为尖端人工智能(AI)芯片生产的关键,随着AI需求爆发,台积电CoWoS产能紧缺。值得注意的是,近期,业界传出,由于台积电先进封装CoWoS产能始终供不应求,英伟达日前找上英特尔进行先进封装。供应链厂商指出,台积电CoWoS-S与英特尔Foveros封装技术相似,(后者)能快速提供封装产能。

从芯片供应商使用情况来看,英伟达的A100、A800、A30、H100、H800、GH200等AI芯片均依赖于台积电的CoWoS-S封装技术以及基于65nm硅中介层的工艺;同行AMD的MI300也导入了CoWoS技术;联发科与台积电合作,意在将CoWoS用于其ASIC芯片;博通公司ASIC也将采用CoWoS-L...越来越多的公司青睐于CoWoS,业界人士认为,台积电的CoWoS产能,是导致当前AI芯片出货量卡关的主要原因。

据TrendForce集邦咨询调查,以英伟达的B100而言,其芯片尺寸将较H100翻倍,会消耗更多的CoWoS用量,预估2025年主要供应商台积电的CoWoS生产量规模至年底总产能可达550k-600k,成长率逼近8成。

英伟达计划在2024年下半年推出B100及B200,供应CSPs(云端服务业者)客户,并另外规划降规版B200A给其他企业型客户,瞄准边缘AI(人工智能)应用。TrendForce集邦咨询最新调查表示,受CoWoS-L封装产能吃紧影响,NVIDIA会将B100及B200产能提供给需求较大的CSPs客户,并规划于2024年第三季后陆续供货。在CoWoS-L良率和量产尚待整备的情况下,NVIDIA同步规划降规版B200A给其他企业客户,并转为采用CoWoS-S封装技术。

针对产能扩充,台积电总裁魏哲家曾在第二季法说会表示,接下来CoWoS的需求几乎是双倍成长,公司正积极扩充产能当中,并希望到2025-2026年供需平衡。目前,台积电的CoWoS产能全都在台湾地区。另据路透社先前引用知情人士来源指出,台积电考虑在日本建立先进封装能力,其中一个选择是将CoWoS封装技术带到日本。

去年12月,台积电CoWoS月产能增加到1.4万片至1.5万片;预估到2024年第4季,台积电CoWoS月产能将大幅扩充到3.3万片至3.5万片;2025年底,再提高至每月44000片。

台积电CoWoS先进封装厂在台湾地区的据点主要分布在桃园龙潭(扩充CoWoS)、新竹竹科、苗栗竹南、苗栗铜锣、台中中科、嘉义嘉科台南南科(接收龙潭InFO产能调配)。其中,台积电在嘉义科学园区建设2座CoWoS先进封装厂,第一座P1厂已于5月动工,但挖到疑似遗址,目前已先暂停P1厂施工,并同步启动第二座CoWoS厂(P2厂)工程。

英特尔方面,今年1月,英特尔宣布3D Foveros先进封装技术已在美国新墨西哥州Fab 9开始大规模生产。

从英特尔先进封装布局情况来看,该公司除了在美国奥勒冈州有相关研发与产能之外,包括新墨西哥州及未来的马来西亚槟城新厂,3个据点的3D先进封装产能相加,将于2025年时增加四倍,不过未透露厂区的产能。

而英特尔在马来西亚,未来将有六座工厂。现有的4座分别为槟城和居林(Kulim)的两座封测厂,以及在居林负责生产测试设备的系统整合和制造服务厂(SIMS)和自制设备厂(KMDSDP);尚在兴建中的,是分别位于槟城和居林的封测厂和组装测试厂。其中,位于槟城的封测厂未来将生产最先进的3D IC封装Foveros,预计会在2024或2025年启用。

二、两位主角:CoWoS VS Foveros

1、CoWoS特别之处何在?

CoWoS,英文全称为Chip-on-Wafer-on-Substrate,是一种2.5D、3D的封装技术,指将不同功能的模组做成小芯片(chiplet),全部封在一块芯片内。因此在一块芯片内,包含逻辑芯片、存储器、射频芯片和微机电芯片,不过该技术只服务7nm以下制程。

CoWoS可以分为「CoW」和「WoS」来理解,「CoW」指“Chip-on-Wafer”,意味芯片堆叠;「WoS」指“Wafer-on-Substrate”,是将芯片堆叠在基板上。通俗来说,CoWoS是指,把芯片堆叠起来,封装于基板上,以此来减少芯片需要的空间,提高芯片性能,同时减少功耗和成本,适用于高性能计算HPC、AI人工智能、数据中心、5G、物联网、汽车电子等领域。

CoWoS处于半导体产业链的下游IC封装与测试的阶段中。目前市场使用的CoWoS技术分为三类,CoWoS-S、CoWoS-R、CoWoS-L。

CoWoS-S使用单芯片硅内插件和硅通孔(TSV),以促进芯片和基板之间高速电信号的直接传输。这里需要注意的是,单片硅内插层蕴藏着良率问题。目前,Amkor、英特尔等主力技术尚为CoWoS-S,主攻英伟达H系列芯片。

CoWoS-R系列,采用InFO技术,在RDL中介层作用于芯片之间的互连,尤其是在HBM(高带宽内存)和SoC异构集成中。RDL中介层由聚合物和铜线组成,具有相对的机械灵活性。这种灵活性增强了C4接头的完整性,并使新封装可以扩大其尺寸,满足更复杂的功能需求。

CoWoS-L则结合了CoWoS-S和InFO技术的优点,使用中介层与LSI(本地硅互连)芯片,进行芯片间互连,并使用RDL层进行电源和信号传输,从而提供最灵活的集成。该产品从1.5X光罩中介层尺寸开始,包含1xSoC+4xHBM立方体,进一步将封装扩展到更大的尺寸以集成更多芯片。

CoWoS-L技术缓解了CoWoS-S中因使用大型硅内插件,而产生的良品率问题。业界称,在某些实施方案中,该技术还可以使用绝缘体通孔(TIV) 来取代TSV,以最大限度地降低插入损耗。

由于芯片微缩的同时,芯片成本也在不断增加,通过CoWoS技术的加持,将不同制程的芯片封装在一起,可以达到加速运算、成本可控化的效果。也因此业界认为,CoWoS技术的出现延伸了摩尔定律的寿命。不过该技术仍然面临芯片堆叠之后所产生的等热、良率提升等问题。

此外,这里提到的InFO技术是指,在堆叠过程中,利用半导体制程技术,少使用了中间的导线载板,大大降低了封装成本,尺寸上也可以做到更轻薄,有利于散热和降低芯片功耗。

2、Foveros:业界首创3D IC

Foveros是一个希腊语单词,意为“独特的,特殊的”。该技术是英特尔发明的一种高性能三维集成电路(3D IC)面对面堆叠封装技术,于2019年面世。

Foveros技术旨在将两个或多个芯片组装在一起,进行横向和纵向之间的互连,进一步降低凸点间距。但实际上,Foveros的逻辑芯片3D堆叠并不是一种芯片,而是逻辑晶圆3D堆叠技术,也就是把chiplet/die面对面叠起来。该技术通过巧妙的设计,可以通过将存储堆叠在活动组件之上来显著改善某些组件的延迟和带宽。产品可以分成更小的小芯片 (chiplet) 或块 (tile),其中 I/O、SRAM和电源传输电路在基础芯片中制造,高性能逻辑小芯片或块堆叠在顶部。

Foveros在芯片内实现极低功耗和高密度的芯片间连接,最小化了分区的开销,能够为每个区块选择理性的芯片工艺,并保障了成本和性能提升,简化了SKU(库存量单元)的创建,更容易定制且更快速地上市。不同的技术版本包含Foveros Omni、Foveros Direct。

英特尔第一代Foveros是采用10nm工艺推出,功耗极低,为每比特0.15皮焦耳,带宽是同类2.5D Si中介层的2-3倍,功率可从3W扩展到1千瓦,当时凸点间距为50微米。

Foveros Omni允许芯片分离,灵活性强,可以在混合芯片节点上将多个顶芯片块和多个基块混合在一起,为芯片到芯片互连和模块化设计提供了性能3D堆栈技术。

Foveros Direct则是Foveros Omni的补充,是支持直接连接一个或多个小芯片至作用中底层芯片,以创造复杂系统模组。据英特尔指出,“直接”连接是透过将个别小芯片的铜线以热压缩方式与晶圆连接,或是直接让整个晶圆彼此堆叠连接。此连接技术可以是“面对面”或是“面对背”,并纳入来自不同晶圆代工的芯片或晶圆,提高产品架构弹性。而连接频宽由铜线间距(以及产生的密度) 决定。第一代Foveros Direct 3D会使用9um的间距连接铜线;第二代则会缩小到间距只有3um。该技术实现了10微米以下的碰撞间距,提高了3D堆栈的互连密度,为功能芯片分区开创了过去无法实现的新概念。

英特尔曾强调,随着整个半导体产业进入在单个封装中集成多个小芯片(Chiplets)的异构时代,英特尔的3D Foveros 和2.5D EMIB等先进封装技术将可以达成在单个封装中整合一兆个电晶体,以便在2030年之后继续持续推动摩尔定律的前进。

此外,值得一提的是,英特尔的EMIB 3.5D是在一个封装中嵌入多晶粒互连桥接和Foveros 技术,适合需要在一个封装中组合多个3D堆栈的应用。其Data Center GPU Max Series SoC,使用 EMIB 3.5D,打造出英特尔有史以来大批量生产的最复杂的异构芯片,该芯片拥有超过1000亿个晶体管、47个活动磁贴和5个工艺节点。

结 语

先进封装市场已然成为兵家必争之地。目前,日月光、安靠(Amkor)、长电科技、台积电、三星、英特尔6家大厂占据了整个先进封装市场近80%市场份额。而各家手中筹码各色各样,其中台积电手上掌握的先进封装技术除了上述的CoWoS-S、CoWoS-R、CoWoS-L,还包括InFO-OS、InFO-LSI、InFO-SOW、 InFO-SoIS、、SoIC、FOPLP等;英特尔包括EMIB、Foveros、Foveros Omni、Foveros Direct等;其他大厂,如三星拥有FOSiP、X-Cube、I-Cube、HBM、DDR/LPDDR DRAM、CIS等,长电科技已经覆盖SiP、WL-CSP、2.5D、3D等。

整体来讲,后摩尔时代下,人工智能(AI)和高性能计算需求快速增长,先进封装市场的景气度显著高于整体封装行业,市场盛况空前,引得各路豪杰汇聚一堂,竞争日渐热烈,先进封装市场的繁荣也将推动全球半导体产业向上发展。

追加内容

本文作者可以追加内容哦 !